четверг 28 мартаadmin

Oct 4, 2013 - Hi I need to write a code for rs-232 serial data to parallel convertor.I can write Serial to parallel code, but the problem is i have to write a code. Windows 10 clipper. Shejr dar vasfi vatan tochikiston.

8 bit serial to parallel converter verilog codes

SIPO module sipomod(clk,clear, si, po); input clk, si,clear; output [3:0] po; reg [3:0] tmp; reg [3:0] po; always @(posedge clk) begin if (clear) tmp.